News Release

Applied Materials Introduces the Biggest Materials Change to Interconnect Technology in 15 Years

May 13, 2014 at 4:30 AM PDT
  • New Endura® Volta(TM)CVD system's unique cobalt processes ease interconnect bottlenecks to enable continued Moore's Law scaling
  • Two breakthrough interconnect applications designed to support future generations of high-performance, low-power microchips
  • Industry's first selective CVD metal process demonstrates Applied's leadership in precision materials engineering

SANTA CLARA, Calif., May 13, 2014 - Applied Materials, Inc. today announced its Applied Endura® Volta(TM) CVD Cobalt system, the only tool capable of encapsulating copper interconnects in logic chips beyond the 28nm node by depositing precise, thin cobalt films. The two enabling applications, a conformal cobalt liner and a selective cobalt capping layer, provide complete enclosure of the copper lines, improving reliability by an order of magnitude. The introduction of cobalt as a superior metal encapsulation film marks the most significant materials change to the interconnect in over 15 years.

"The reliability and performance of the wiring that connects the billions of transistors in a chip is critical to achieve high yields for device manufacturers. As wire dimensions shrink to keep pace with Moore's Law, interconnects are more prone to killer voids and electromigration failures," said Dr. Randhir Thakur, executive vice president and general manager of the Silicon Systems Group at Applied Materials. "The Endura Volta system builds on Applied's precision materials engineering leadership by delivering CVD*- based cobalt liner and selective cobalt capping films that overcome these yield-limiting issues to enable our customers to scale copper interconnects to beyond the 28nm node."

The Endura Volta CVD system, with its two new process steps, represents a major technology extension for copper interconnects beyond 28nm. The first step involves the deposition of a thin, conformal CVD cobalt liner to increase the gap fill window of copper in narrow interconnects. This process improves the performance and yield of the device by integrating the pre-clean, PVD*barrier, CVD cobalt liner and copper seed processes under ultra-high vacuum on the same platform.

The second step, a new "selective" CVD cobalt capping step, is deposited after CMP* to encapsulate the copper lines for enhanced reliability performance. Complete envelopment of copper lines with cobalt creates an engineered interface that demonstrates over 80x improvement in device reliability.

"Applied's unique CVD cobalt processes represent an innovative materials-enabled scaling solution," said Dr. Sundar Ramamurthy, vice president and general manager of Metal Deposition Products at Applied Materials. "It is deeply satisfying that these materials and process innovations in development for almost a decade are now being adopted by our customers for their high-performance mobile and server chips."

Applied Materials, Inc. (Nasdaq:AMAT) is the global leader in providing innovative equipment, services and software to enable the manufacture of advanced semiconductor, flat panel display and solar photovoltaic products. Our technologies help make innovations like smartphones, flat screen TVs and solar panels more affordable and accessible to consumers and businesses around the world. Learn more at www.appliedmaterials.com.
# # #

*PVD = physical vapor deposition; CVD = chemical vapor deposition; CMP = chemical mechanical planarization

Contact:
Connie Duncan (editorial/media) 408.332.0541
Michael Sullivan (financial community) 408.986.7977


HUG#1784861